شمارنده ها و محاسبات علامت دا در FPGA

شمارنده ها و محاسبات علامت دا در FPGA

نوع فایل: PDF

تعداد صفحات: 10

اهداف مختلف استفاده از شمارنده­ها در FPGA: 1-تایمرها 2- شمارنده های کاربردی 3- یا حتی ماشین‌های حالت است.

انواع مختلفی از شمارنده­ها وجود دارد:

  • شمارنده باینری 2- prescaler 3- جانسون 4- LFSR و دیگر شمارنده­ها

در شمارنده‌ها موارد زیر قابل تنظیم است:

1- بالا شمار یا پایین شمار بودن  2- مقدار دهی ورودی در شروع با مقدار پیش­فرض 3- داشتن ریست سنکرون یا آسنکرون  4- توقف در هنگام رسیدن به آستانه معین یا free-running و ....

درک مصالحه بین انواع مختلف شمارنده­ها و پیکربندی و انتخاب درست یکی برای یک طرح مشخص می‌تواند سبب ذخیره تعداد زیادی از منابع و بهبود عملکرد گردد.

 

 

محاسبات علامت­دار به صورت گسترده در پردازش سیگنال دیجیتال (DSP)، الگوریتم‌های پردازش ویدئو و تصویر، ارتباطات و طرح‌های  FPGAاستفاده می شود. پیش از این Verilog-95به خوبی محاسبات علامت‌دار مکمل دو را پشتیبانی نمی‌کرد. کاربران برای عملیات علامت ‌دار کدبه استفاده از عملیات دستی در سطح بیت نیاز داشتند. تغییرات  Verilog-2001پشتیبانی از محاسبات علامت‌دار همچون کلمات کلیدی مخصوص و عملگرهای علامت‌دار داخلی را اضافه کرد. در  Verilog-2001از گزینه –verilog2001 در خط فرمان XST و فرمان   “set_option-vlog_stdv2001” در  Synplifyاستفاده می‌شود.


دریافت فایل


شمارنده ها و محاسبات علامت دا در FPGA

Fpga, counter, signed caculation, شمارنده در FPGA, محاسبات علامت دا در FPGA, دانلود بهترین فایل, آموزش

فنی و مهندسی

فایل های جدید

یکی از تب ها رو انتخاب بکنید